本文へ移動
サポートシェアリングソリューション
OKWAVE Plus

このQ&Aは役に立ちましたか?

ベストアンサー
※ ChatGPTを利用し、要約された質問です(原文:VHDL 多重(?)階層の作り方)

VHDL 多重階層の作り方

2023/10/20 04:24

このQ&Aのポイント
  • VHDLで多重階層を作る方法について教えてください。
  • top_Aというモジュールは下位モジュールとしてA1、A2、A3というモジュールを内包しています。同様に、top_BというモジュールもB1、B2、B3というモジュールを内包しています。ここで、top_Cというモジュールを作成し、top_Cの中にtop_Aとtop_Bを含めることはできますか?
  • VHDLの階層を重ねる方法について教えてください。
※ 以下は、質問の原文です

VHDL 多重(?)階層の作り方

2019/08/21 11:48

top_Aというモジュールは下位モジュールとして
A1、A2、A3というモジュールを内包しているものとします。

top_Bというモジュールは下位モジュールとして
B1、B2、B3というモジュールを内包しているものとします。

ここで
top_Cというモジュールを作って

top_C
component top_A
component top_B
・・・といった感じに階層を重ねていってよいのでしょうか?
教えてください。

質問者が選んだベストアンサー

ベストアンサー
2019/08/21 20:22
回答No.1

 何回層に重ねても、何ら問題はありません。
 最終的に、電子回路の形になったときは、下位階層に書いた回路も、全部フラットな形で展開されますから。

お礼

2019/08/22 07:54

回答ありがとうございます。

質問者

このQ&Aは役に立ちましたか?

この質問は投稿から一年以上経過しています。
解決しない場合、新しい質問の投稿をおすすめします。

質問する

お礼をおくりました

さらに、この回答をベストアンサーに選びますか?

ベストアンサーを選ぶと質問が締切られます。
なおベストアンサーを選びなおすことはできません。